CAREER: Physical Design Automation for Fast and Reliable 3D Circuits

职业:快速可靠的 3D 电路的物理设计自动化

基本信息

  • 批准号:
    0546382
  • 负责人:
  • 金额:
    $ 40万
  • 依托单位:
  • 依托单位国家:
    美国
  • 项目类别:
    Continuing Grant
  • 财政年份:
    2006
  • 资助国家:
    美国
  • 起止时间:
    2006-06-15 至 2012-06-30
  • 项目状态:
    已结题

项目摘要

CAREER: Physical Design Automation for Fast and Reliable 3D CircuitsPI: Sung Kyu Lim, Georgia Institute of TechnologyThe 3D integrated circuit is an emergent technology that vertically stacks multiple die with a die-to-die interconnect. The die-to-die via pitch is very small and provides the possibility of arranging digital functional blocks across multiple die at a very fine level of granularity. This results in a decrease in the overall wire length, which translates into less wire delay and less power. Advances in 3D integration and packaging are undoubtedly gaining momentum and have become of critical interest to the semiconductor community. These 3D integrated circuit and package manufacturing technologies are rapidly being adopted by several leading companies for commercial applications. In spite of the rapid advancement in 3D fabrication and packaging technologies, the design automation community has seen very little progress on the development of computer-aided design tools for 3D integration. The goal of this research is to develop physical design algorithms for 3D integrated circuits. We perform placement and routing at two levels of design abstraction: microarchitecture and circuit level. Our objective is to optimize performance, power, and size while addressing several important reliability issues such as thermal hot-spot, leakage power, and power-supply noise.The intellectual merit of the research is to conduct the first detailed hierarchical study on how 3D technology impacts the organization of processor microarchitectures and their circuit implementation. First, 3D-aware design decisions as early as in the microarchitectural floorplanning stage are crucial in addressing the opportunities and challenges for using 3D technology. Second, a proper management of the thermal-via, through-the-silicon-via, and decoupling capacitor is a highly effective means of alleviating the ever-worsening thermal, leakage, and power-supply noise problems in 3D integrated circuits. Third, retiming is a powerful tool to reduce both dynamic and leakage power under performance constraints while considering the statistical behavior of the gate and interconnect delay caused by process variations. Finally, we are investigating a number of interesting topology styles for the 3D clock network and demonstrate how to consider clock skew variation under thermal and voltage variations. The broader impact of the research is to call for a very strong collaboration between researchers from the microarchitecture and EDA/physical design areas that will bridge the disciplines to deliver better processor technologies. Similarly, students working on the research are gaining multi-disciplinary and cross-cutting experience from low-level areas such as circuit design, physical design, thermal modeling, and noise modeling, to high-level topics, including microarchitecture design and multi-objective/multi-constraint optimization algorithms.
职业:快速可靠的3D电路物理设计自动化PI:Sung Kyu Lim,格鲁吉亚理工学院3D集成电路是一种新兴技术,它通过管芯到管芯互连垂直堆叠多个管芯。管芯到管芯通孔间距非常小,并且提供了以非常精细的粒度水平跨多个管芯布置数字功能块的可能性。这导致总导线长度的减少,这转化为更少的导线延迟和更少的功率。3D集成和封装的进步无疑正在获得动力,并已成为半导体社区的关键兴趣。这些3D集成电路和封装制造技术正迅速被几家领先的公司用于商业应用。尽管3D制造和封装技术发展迅速,但设计自动化社区在开发用于3D集成的计算机辅助设计工具方面进展甚微。本研究的目标是开发三维集成电路的物理设计算法。我们执行布局和布线在两个层次的设计抽象:微架构和电路级。我们的目标是优化性能,功率和尺寸,同时解决几个重要的可靠性问题,如热热点,泄漏功率,电源noise.The研究的智力价值是进行第一次详细的层次研究如何3D技术影响处理器微架构的组织和电路实现。首先,早在微架构布局规划阶段的3D感知设计决策对于解决使用3D技术的机遇和挑战至关重要。其次,热通孔、硅通孔和去耦电容器的适当管理是缓解3D集成电路中不断恶化的热、泄漏和电源噪声问题的高效手段。第三,重定时是一个强大的工具,以减少动态和泄漏功率下的性能约束,同时考虑到统计行为的门和互连延迟所造成的工艺变化。最后,我们正在研究一些有趣的3D时钟网络的拓扑风格,并演示如何考虑温度和电压变化下的时钟偏差变化。该研究的更广泛影响是呼吁微架构和EDA/物理设计领域的研究人员之间进行非常强有力的合作,这将弥合学科之间的差距,以提供更好的处理器技术。同样,从事研究的学生正在获得多学科和跨领域的经验,从电路设计,物理设计,热建模和噪声建模等低层次领域到高层次的主题,包括微架构设计和多目标/多约束优化算法。

项目成果

期刊论文数量(0)
专著数量(0)
科研奖励数量(0)
会议论文数量(0)
专利数量(0)

数据更新时间:{{ journalArticles.updateTime }}

{{ item.title }}
{{ item.translation_title }}
  • DOI:
    {{ item.doi }}
  • 发表时间:
    {{ item.publish_year }}
  • 期刊:
  • 影响因子:
    {{ item.factor }}
  • 作者:
    {{ item.authors }}
  • 通讯作者:
    {{ item.author }}

数据更新时间:{{ journalArticles.updateTime }}

{{ item.title }}
  • 作者:
    {{ item.author }}

数据更新时间:{{ monograph.updateTime }}

{{ item.title }}
  • 作者:
    {{ item.author }}

数据更新时间:{{ sciAawards.updateTime }}

{{ item.title }}
  • 作者:
    {{ item.author }}

数据更新时间:{{ conferencePapers.updateTime }}

{{ item.title }}
  • 作者:
    {{ item.author }}

数据更新时间:{{ patent.updateTime }}

Sung Lim其他文献

Abstract 05: Race and Hospitalization for Cardiovascular Disease in Patients With Systemic Lupus Erythematosus
摘要 05:系统性红斑狼疮患者心血管疾病的种族和住院治疗
  • DOI:
    10.1161/circ.141.suppl_1.05
  • 发表时间:
    2020
  • 期刊:
  • 影响因子:
    37.8
  • 作者:
    Meghan Angley;T. Lewis;P. Howards;Sung Lim
  • 通讯作者:
    Sung Lim
Metal gate effects on a 32 nm metal gate resistor
金属栅极对 32 nm 金属栅极电阻的影响
The Analysis of Volatile Organic Compound Profiles in the Breath as a Biomarker of Lung Cancer
  • DOI:
    10.1378/chest.1703380
  • 发表时间:
    2013-10-01
  • 期刊:
  • 影响因子:
  • 作者:
    Peter Mazzone;Xiaofeng Wang;Paul Rhodes;Ray Martino;Sung Lim;Mary Beukeman;Meredith Seeley;Humberto Choi;James Jett
  • 通讯作者:
    James Jett

Sung Lim的其他文献

{{ item.title }}
{{ item.translation_title }}
  • DOI:
    {{ item.doi }}
  • 发表时间:
    {{ item.publish_year }}
  • 期刊:
  • 影响因子:
    {{ item.factor }}
  • 作者:
    {{ item.authors }}
  • 通讯作者:
    {{ item.author }}

{{ truncateString('Sung Lim', 18)}}的其他基金

SHF:Small:Device/Circuit Co-design of Negative Capacitance Transistors
SHF:Small:负电容晶体管的器件/电路协同设计
  • 批准号:
    1718671
  • 财政年份:
    2017
  • 资助金额:
    $ 40万
  • 项目类别:
    Standard Grant
SHF: Small: Collaborative Research: Design for Manufacturability of 3D ICs with Through Silicon Vias
SHF:小型:协作研究:带硅通孔的 3D IC 的可制造性设计
  • 批准号:
    1018216
  • 财政年份:
    2010
  • 资助金额:
    $ 40万
  • 项目类别:
    Standard Grant
SHF: Small: 3D Integration of Sub-Threshold Multi-core Co-processor for Ultra Lower Power Computing
SHF:小型:用于超低功耗计算的亚阈值多核协处理器的 3D 集成
  • 批准号:
    0917000
  • 财政年份:
    2009
  • 资助金额:
    $ 40万
  • 项目类别:
    Standard Grant
Bringing Low Power Reconfigurable Analog Signal Processing to Embedded Systems
将低功耗可重构模拟信号处理引入嵌入式系统
  • 批准号:
    0411149
  • 财政年份:
    2004
  • 资助金额:
    $ 40万
  • 项目类别:
    Standard Grant
NER: Automatic Placement Algorithms for Quantum-dot Cellular Automata
NER:量子点元胞自动机的自动放置算法
  • 批准号:
    0404011
  • 财政年份:
    2004
  • 资助金额:
    $ 40万
  • 项目类别:
    Standard Grant

相似国自然基金

面向智能电网基础设施Cyber-Physical安全的自治愈基础理论研究
  • 批准号:
    61300132
  • 批准年份:
    2013
  • 资助金额:
    23.0 万元
  • 项目类别:
    青年科学基金项目

相似海外基金

CAREER: Game Theoretic Models for Robust Cyber-Physical Interactions: Inference and Design under Uncertainty
职业:稳健的网络物理交互的博弈论模型:不确定性下的推理和设计
  • 批准号:
    2336840
  • 财政年份:
    2024
  • 资助金额:
    $ 40万
  • 项目类别:
    Continuing Grant
CAREER: High-Assurance Design of Learning-Enabled Cyber-Physical Systems with Deep Contracts
职业:具有深度合约的支持学习的网络物理系统的高保证设计
  • 批准号:
    1846524
  • 财政年份:
    2019
  • 资助金额:
    $ 40万
  • 项目类别:
    Continuing Grant
CAREER: Game-Theoretic Analysis and Design for Cross-Layer Cyber-Physical System Security and Resilience
职业:跨层网络物理系统安全性和弹性的博弈论分析和设计
  • 批准号:
    1847056
  • 财政年份:
    2019
  • 资助金额:
    $ 40万
  • 项目类别:
    Continuing Grant
CAREER: Co-Design of Information and Incentives in Societal-Scale Cyber-Physical Systems
职业:社会规模网络物理系统中信息和激励的协同设计
  • 批准号:
    1844729
  • 财政年份:
    2019
  • 资助金额:
    $ 40万
  • 项目类别:
    Standard Grant
CAREER: A Compositional Approach to Modular Cyber-Physical Control System Design
职业:模块化网络物理控制系统设计的组合方法
  • 批准号:
    1553873
  • 财政年份:
    2016
  • 资助金额:
    $ 40万
  • 项目类别:
    Standard Grant
CAREER: Integrated Research and Education in Physical Design Automation for Nanotechnology and VLSI Technology Co-Design
职业:纳米技术和超大规模集成电路技术协同设计的物理设计自动化综合研究和教育
  • 批准号:
    1349984
  • 财政年份:
    2014
  • 资助金额:
    $ 40万
  • 项目类别:
    Continuing Grant
CAREER: Scalable and Optimal Co-Design of Control and Communication Protocols in Cyber-physical Systems
职业:网络物理系统中控制和通信协议的可扩展和优化协同设计
  • 批准号:
    0846631
  • 财政年份:
    2009
  • 资助金额:
    $ 40万
  • 项目类别:
    Standard Grant
CAREER: Development of Physical Programming for Robust Computational Design
职业:稳健计算设计的物理编程开发
  • 批准号:
    0196243
  • 财政年份:
    2001
  • 资助金额:
    $ 40万
  • 项目类别:
    Standard Grant
Career: Interconnect Planning and Synthesis of Physical Layout for Deep Submicron VLSI Design
职业:深亚微米 VLSI 设计的互连规划和物理布局综合
  • 批准号:
    9984553
  • 财政年份:
    2000
  • 资助金额:
    $ 40万
  • 项目类别:
    Continuing Grant
CAREER: Development of Physical Programming for Robust Computational Design
职业:稳健计算设计的物理编程开发
  • 批准号:
    9702248
  • 财政年份:
    1997
  • 资助金额:
    $ 40万
  • 项目类别:
    Standard Grant
{{ showInfoDetail.title }}

作者:{{ showInfoDetail.author }}

知道了